Introduction to Digital Radio Frequency Circuit Design”

May 19-June 30, 2021, Zoom

Instructor:                    Dr. Ofir Degani and guest lecturers from Intel

Teaching assistant:   Itamar Melamed (itamar.m@campus.technion.ac.il )

Lectures:                       26 hours, 6 weeks.

Academic points:       2pts

Exam:                             final project

 

Topics

The course will cover the operation principles and the design of modern digital radio frequency circuit topologies and system architectures with enhanced digital signal processing.

Prerequisites

  • Linear Electronic Circuits (044142) or Electronic Circuits (044137)
  • Receiving and Transmitting Techniques (044214) or RFIC (046903)

Assignments

The course will consist of: lectures, discussion, practical examples, and a final project.

The grade will be based on quizzes (30%) and the final project (70%).

The individual projects will include a transistor level schematic design project of a selected circuit based on the course topics (e.g., TDC, DTC) according a required specifications. The project will be mentored by the course guest lecturers. The project options will be published during the course.

A 30 min presentation (followed by 10 min for questions) of the project is expected covering the project goals, design methodologies used, and simulation results. The grade will be set by a committee of the course staff and the guest lecturers reviewing the presentation.

Course Abstract and Outline

The increasing demand for over-the-air data traffic imposes requirements for wireless protocols and transceivers to support wider (e.g., 160 MHz) channel bandwidths, higher order modulation schemes (e.g., 1k-QAM OFDM), and MIMO and multilink schemes to allow for the increased wireless throughput. As many wireless enabled devices are battery powered and mobile, there is also a continuous demand to improve power consumption, cost, and form factor. Reducing the power dissipation becomes even more critical with the simultaneous operation of multiple chains.

These trends have driven higher levels of integration of the radio, with digital SoCs, on advanced CMOS processes. However, implementing the transceiver on a deeply scaled process node presents major challenges from having to operate off low supply voltages. In addition, traditional RF designs require accurate transistor and passive models, which can become a time-to-market limitation for the whole SoC.

As a result, in recent years, there has been a shift in wireless transceivers toward digital radio architectures due to their more compact die area, scalability in advanced CMOS processes, and the improved power efficiency. Furthermore, digital circuit topologies open paths to include digital processing algorithms that can enhance the circuit capabilities beyond the traditional analog designs allow. Examples for such topologies include digital phase lock loops and digital transmitters that will be explored.

The course discusses the operation principles and the design of modern digital radio frequency circuit topologies and system architectures with enhanced digital signal processing. Two main examples will be presented and discussed in detail, the first of digital phase lock loops and the second of digital transmitters. Their basic operation principles and modeling will be discussed and compared to the equivalent analog radio components.

Course schedule:

19.05.21 Dr. Ofir Degani – 17:00-19:00

Introduction to Digital Radio Frequency Transmit and Receive (RF-TRX) circuits. Comparison vs. Analog RF-TRX, motivations, examples from literature

24.05.21: Dr. Evgeny Shumaker – 17:00-19:00

Introduction to phase lock loops (PLL), basic structure and operation principles of Analog PLL and Digital PLL. DPLL model and jitter/phase noise budgets – Part 1

26.05.21: Dr. Evgeny Shumaker – 17:00-19:00

Introduction to phase lock loops (PLL), basic structure and operation principles of Analog PLL and Digital PLL. DPLL model and jitter/phase noise budgets – Part 2

31.05.21: Rotem Banin – 17:00-19:00

Introduction to Time to Digital Converters (TDC), resolution, noise and meta stability, flash TDC, Vernier TDC, interpolating TDC & stochastic TDC, Part 1

02.06.21: Rotem Banin – 17:00-18:00

Introduction to Time to Digital Converters (TDC), resolution, noise and meta stability, flash TDC, Vernier TDC, interpolating TDC & stochastic TDC, Part 2

07.06.21: Run Levinger – 17:00-19:00

Introduction to Digitally Controlled Oscillators, frequency resolution, noise modeling – Part 1

09.06.21: Run Levinger – 17:00-18:00

Introduction to Digitally Controlled Oscillators, frequency resolution, noise modeling – Part 2

14.06.21: Assaf Ben-Bassat – 17:00-19:00

Introduction to RF Transmitters (TX), basic structure and operation principles of Analog TX (ATX) and Digital TX (DTX). Types of digital transmitters and their working principles: quadrature DTX, 2 point polar DTX, DTC based polar DTX

16.06.21: Elan Banin – 17:00-19:00

DTX signal generation Digital front end (DFE), non regular time sampling: FSRC, zero crossing algorithms

17.06.21: Shahar Gross – 17:00-19:00

Basic performance indicators for digital TX chains and impairments. Pre-distortion techniques

21.06.21: Dr. Gil Asa – 17:00-19:00

Introduction to digital to time converters (DTC) and operation principles. DTC architectures segmentation, coarse phase modulators (MUX based, multi modulus divider based), fine phase modulators (delay based, interpolation based). Non idealities and non linearities – Part 1

23.06.21: Dr. Gil Asa – 17:00-19:00

Introduction to digital to time converters (DTC) and operation principles. DTC architectures segmentation, coarse phase modulators (MUX based, multi modulus divider based), fine phase modulators (delay based, interpolation based). Non idealities and non linearities – Part 2

28.06.21: Dr. Ashoke Ravi – 17:00-19:00

Introduction to digital power amplifiers (DPA) and operation principles. Current mode RF DAC/DPA vs. capacitive mode RF DAC/DPA – Part 1

30.06.21: Dr. Ashoke Ravi – 17:00-19:00

Introduction to digital power amplifiers (DPA) and operation principles. Current mode RF DAC/DPA vs. capacitive mode RF DAC/DPA – Part 2

Lecturer Bio

Ofir Degani (Senior Member, IEEE) has received the B.Sc. degree (summa cum laude) in electrical engineering and the B.A. degree in physics (summa cum laude) and the M.Sc. and Ph.D. degrees all from the Technion—Israel Institute of Technology, Haifa, Israel, in 1996, 1999, and 2005, respectively. His Ph.D. research was on MEMS inertial sensors and electrostatic actuators.

He joined the Mobility Wireless Group, Intel Corporation, Haifa, in 2006. His recent research interest includes integrated transceivers, digital transmitters, and mmWave radios in CMOS technology. He has authored or coauthored more than 80 journal articles and conference papers. He has filed more than 50 patents.

Dr. Degani was a recipient of the prestigious 2002 Graduate Student Fellowship from the IEEE Electron Devices Society and the Charles Clore Scholarship at the Charles Clore Foundation.

Guest Lecturers Bios

Assaf Ben-Bassat (Member, IEEE) received the B.Sc. and M.Sc. degrees in electrical engineering from the Technion—Israel Institute of Technology, Haifa, Israel, in 1997 and 2001, respectively, with a focus on the field of electro-optics.

From 2001 to 2003, he was with All-Optical, Haifa, developing lasers for high-speed optical communications. He joined Intel Corporation, Haifa, in 2003, where he develops circuits for baseband, RF, PLLs, and LO generation and distribution.

Shahar Gross received the B.Sc. degree in physics and the B.Sc. and M.Sc. degrees in electrical engineering from Tel Aviv University, Tel Aviv, Israel, specializing in signal processing, communication, and information theory.

In 2012, he joined as a PHY System Engineer with the Wireless Products Division, Intel Corporation, Petach-Tikva, Israel, where he is currently a PHY architect and is focused on advanced transmitter features. He took part in the Wi-Fi 6 standardization process and led the Wi-Fi PHY architecture of Intel’s first Wi-Fi 6 product.

Elan Banin received the B.Sc. degree in mechanical engineering from Tel Aviv University, Tel Aviv, Israel, in 2006.

He joined Intel Corporation, Petach-Tikva, Israel, in 2010, where he has worked as a DSP and Algorithms Engineer on multiple communication technologies.

Rotem Banin received the B.Sc. degree in electrical engineering from the Technion—Israel Institute of Technology, Haifa, Israel, in 2006, and the M.Sc. degree from Tel Aviv University, in 2015, Israel.

He joined the Mobility Wireless Group, Intel Corporation, Haifa in 2003. His recent research interest includes high-speed mixed-signal circuits and systems, integrated transceivers, digital transmitters, digital PLLs, and serial interfaces.

Ashoke Ravi (Senior Member, IEEE) received the B.Tech. degree in electrical engineering from IIT Madras, Chennai, India, and the M.S. and Ph.D. degrees in electrical and computer engineering from Carnegie Mellon University, Pittsburgh, PA, USA.

In 2001, he joined Intel Corporation, Hillsboro, OR, USA, where he is currently a Principal Engineer, working on innovation projects with the Advanced Radio Technology Team. His prior contributions were in developing integrated RF transceivers on deeply scaled CMOS processes and MIMO radios. He has authored or coauthored 50 IEEE conference papers and journal articles and coauthored three book chapters. He has 35 issued patents in this field. His research interests are in RF and mixed-signal circuits and architectures for connectivity, cellular, and SoC applications. Over the last several years, these activities have included leading the research and development of mm-waveband transceivers, digital polar and outphasing transmitters, digital PLLs, and data converters.

Dr. Ravi was nominated to the MIT Technology Review’s list of top 35 young innovators (the TR35) in 2009.

Gil Asa (Ph.D.) has received B.Sc. , M.Sc. , Ph.D. (all summa cum laude, from the Technion -IIT), focusing on micro-electronics. He graduated Ph.D. at 1999 researching advanced nuclear camera and detectors. At 1998 he took part in the Technion Israeli satellite project with design of Gamma ray spectrometer, which reports supernova activities. Till 2002 he was a project leader at IBM research labs working on ultra-high speed SiGe serializers, and till 2006 he was a senior circuit designer at Marvell working on self-invented digital PLL. Till 2012 he was the founder and CTO of compact SRAM start up and till 2015 he was an analog expert consultant at Inomize, working on video camera implanted inside the eye of partially blind patients. Since 2015 he joined Intel as signal integrity leader and since 2017 the focus is on RF circuit design, digital transmitters and relevant IP development. Dr. Asa has at least 10 academic papers, and over 25 worldwide patents (10 of them as sole inventor).

Run Levinger received the M.Sc. degree (Summa Cum Lauda) in electrical and electronics engineering from Tel-Aviv University, Tel Aviv, Israel, in 2015. His research thesis focused on linearization techniques for integrated E-band transmitter circuits such as up-converting mixers and power detectors. In 2011, he joined the IBM Haifa Research Laboratories, Haifa, Israel, where he was a Research Staff Member with the mm-Wave Technologies Group. In 2016, he joined Intel’s Radio Product Development group, Petah-Tikva, Israel, where he is a senior technical staff member. His research interests include designing, measuring, and modeling of integrated RF and millimeter-wave voltage and digital controlled oscillators (VCOs and DCOs), frequency synthesizers, frequency dividers, mixers, power detectors, and passives

for communication, radar, and imaging applications. He has Authored and Co-Authored more than 25 Conference and Journal papers and holds 10 US patents with several more pending.

Evgeny Shumaker graduated B.Sc. (summa cum laude), M.Sc. (summa cum laude) and Ph.D. degrees all from the Electrical Engineering department, Technion—Israel Institute of Technology, Haifa, Israel, in 2002, 2004, and 2010, respectively. His Ph.D. dissertation focused on basic limits and applications of slow and fast light phenomenon in microwave photonics.

In 2010 he joined IBM Haifa Research Laboratory where he was a Research Staff Member, leading R&D of passive millimeter wave imaging systems. In 2015 he joined Intel’s Radio Product Development group, Haifa, Israel, where he is currently a Senior Technical Staff Member. His research interests include real-time algorithms and techniques for high precision digital frequency synthesis. He has authored or coauthored more than 40 journal articles and conference papers and filed more than 10 patents.

Dr. Shumakher is a two-time recipient of the E.I. Jury award (2004, 2009) and the prestigious Graduate Student Fellowship from the IEEE Photonics Society (2009).